Welcome![Sign In][Sign Up]
Location:
Search - VHDL stepper motor

Search list

[VHDL-FPGA-Verilogcontrol step motor

Description: 步进电机控制,控制器,控制电机的VHDL源程序-stepper motor control, controllers, motor control VHDL source
Platform: | Size: 1024 | Author: | Hits:

[Othermotorctrl

Description: 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
Platform: | Size: 251904 | Author: 代松洮 | Hits:

[VHDL-FPGA-Verilogdianji

Description: 基于FPGA系统的步进电机控制,内涵详细的源代码-FPGA-based system of stepper motor control, detailed content of the source code! !
Platform: | Size: 63488 | Author: 刘嵘 | Hits:

[Embeded-SCM DevelopVHDL_stepper-motor

Description: 用于CPLD的控制,VHDL编程,实现控制三相步进电机的正反转。-control for CPLD, VHDL programming, control of three-phase stepper motor rotating direction.
Platform: | Size: 281600 | Author: 胡迪 | Hits:

[SCMMotorControlVHDL

Description: 基于FPGA的步进电机控制电路的VHDL语言-FPGA-based stepper motor control circuit of VHDL
Platform: | Size: 1024 | Author: 邓名成 | Hits:

[VHDL-FPGA-VerilogsteppermotorVHDL

Description: 一种全新的VHDL控制步进电机驱动代码,以供学习-a new VHDL controlled stepper motor driver code for learning
Platform: | Size: 1024 | Author: xufeng | Hits:

[VHDL-FPGA-Verilogstep_motor.vhd

Description: 用VHDL编写的步进电机控制方法.供大家参考用.-prepared using VHDL stepper motor control methods. For your reference.
Platform: | Size: 1024 | Author: lfy | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL控制步进电机原理PDF文档非常有用,看看吧-VHDL control of stepper motor principle of PDF files is very useful to see it
Platform: | Size: 199680 | Author: 王攀 | Hits:

[SCMCPLD

Description: 控制三相步进电机及光电编码器的采集,当电机停止时,保证三相里面只有一相相通,防止停止时电流过大.-Control three-phase stepper motor and optical encoder collection, when the motor stops to ensure that only one phase of three-phase inside the heart, and to prevent too much current is stopped.
Platform: | Size: 580608 | Author: suifeg | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 步进电机定位控制系统VHDL程序,可以进行步进角的倍数设定,激磁方式的选择-Stepper motor positioning control system for VHDL process can be carried out in multiples of step angle setting, the choice of excitation mode
Platform: | Size: 4096 | Author: wavy | Hits:

[VHDL-FPGA-Verilogstepper_motor_control_design_example

Description: 步进电机 VHDL 控制,整步 半步 细分 actel FPGA使用-VHDL stepper motor control, whole-step half-step breakdown of the use of actel FPGA
Platform: | Size: 1353728 | Author: 李宁 | Hits:

[VHDL-FPGA-Verilogfpga

Description: fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用。-FPGA valuable 27 examples, including the stepper motor positioning control system and simulation of VHDL program, ASK modulation and demodulation process and VHDL simulation, TLC7524 procedures interface circuit is very practical.
Platform: | Size: 1278976 | Author: 秦华 | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 本程序设计一个基于FPGA的4相步进电机定位控制系统。由步进电机方向设定电路模块、步进电机步进移动与定位控制模块和编码输出模块构成。前两个模块完成电机旋转方向设定,激磁方式设定和定位角度的换算等工作,后一个模块用于对换算后的角度量编码输出。-This procedure to design an FPGA-based 4-phase stepper motor positioning control system. Direction set by the stepper motor circuit module, stepper motor stepper movement and positioning control module and the code output modules. The first two modules complete the motor rotation direction setting, exciting way of setting the angle and positioning of the conversion work, after a module for the point of view of the volume of converted output encoding.
Platform: | Size: 165888 | Author: yato_logo | Hits:

[SCMmotor

Description: ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
Platform: | Size: 2048 | Author: lauking | Hits:

[VHDL-FPGA-Verilogbujindianji

Description: vhdl代码!步进电机定位控制系统VHDL程序与仿真!初学者可以参考参考-VHDL code! Stepper motor positioning control system and simulation of VHDL procedures! Beginners can refer to reference
Platform: | Size: 5120 | Author: daxiadian2 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 已经开发成产品的步进电机定位控制系统的VHDL程序-Has developed into a product positioning stepper motor control system VHDL procedures
Platform: | Size: 1024 | Author: ww | Hits:

[Other Embeded programmotorrun

Description: This code is used to drive a unipolar stepper motor using SPARTAN3E FPGA. and coding is done in verilog
Platform: | Size: 434176 | Author: kal | Hits:

[Software EngineeringNew_Stepper_Motor_Drive_Circuit

Description: 本课题设计了一款用于两相混合式步进电机的驱动芯片,内部集成了PWM(脉 冲宽度调制)斩波控制和步进电机细分驱动功能,工作于36V并可持续输出1.5A 电流。PWM电流控制电路与3位非线性数模转换器相结合,可细分电机绕组上的 电流,对步进电机进行整步、半步、1/4步或1/8步的细分控制,从而实现了步 进电机不同步距角的运作。为了改善电机性能,特别是电机工作在微步距模式下 的正弦电流波形下时,芯片可提供三种不同的电流衰减模式(快衰减模式、慢衰 减模式、混合衰减模式)。同时,芯片内部的保护电路可实现过热关断和欠压锁 定。-New Stepper Motor Drive Circuit
Platform: | Size: 1684480 | Author: 孙文 | Hits:

[VHDL-FPGA-VerilogStepper-motor-controller-

Description: 步进电机控制器,单机反馈自动控制,vhdl代码。-Stepper motor controller
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilogstepper motor driver

Description: stepper motor drive. use VHDL
Platform: | Size: 19456 | Author: kingmomo | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net